第十四届蓝桥杯在2023年6月份完美谢幕,本人参加了第十四届蓝桥杯EDA设计与开发组,在广东赛区获得了省一,全国总决赛获得国二奖项,由于EDA组参加的人相比电子类其他组较少,网上资源不多,因此分享一下我在2023年参加蓝桥杯的一些备赛经验。

        蓝桥杯无非就是做题,在电子类跟其他比赛像电赛、智能车、光电赛相比蓝桥杯更偏向于技巧,说是比赛其实更像一门考试,软件类方向会比较难一些,因此含金量偏向于软件,电子类是近几年才有的,并且参加的人数相对软件类就少很多了,从而试题也相对简单一些(个人看法),但获奖比例是一样的。

        首先介绍一下蓝桥杯EDA设计与开发大学组,电子类的组别是没有像软件类分A,B组的,因为参加的人不多,所以统称为大学组,使用的软件是嘉立创出品的立创EDA专业版,赛题分为客观题部分和设计题部分,其中客观题部分15分,设计题85分,因此比赛重心会偏向于设计题方面,下面我分别介绍一下客观题和设计题的考查内容。

        客观题主要考查电路基础,模电数电,还有一些单片机相关的知识,题目形式为不定项选择题,这一部分只能说需要把专业课学好,然后多去刷题,我的专业课基础就不是很好,而且备赛重心不在客观题上,因此国赛也就没有拿到更好的成绩,我建议大家如果备赛的话首先是专业课要学一下,一般来说电子信息类专业会在大一下学期开设电路原理/电路分析这门课程,这门课程对于比赛来说是需要掌握一些基础知识的,因此要认真学习一下,模数电和单片机知识部分对于大一的同学可能会相对吃力一些,因此我建议去针对题目来进行学习,可以在牛客app上刷题,上面有很多题目可以保证你学会这些基础知识。

        设计题方面主要是考查原理图部分和PCB部分,其中原理图部分有元器件的绘制(原理图原件及封装),电路原理图的设计(一般会给个图片,然后需要按照图片绘制即可,如果难一点就是给核心原件的数据手册data sheet,要根据手册里面的内容进行设计),原理图部分不用担心,因为通常会给定需要的元器件,照猫画虎即可。而PCB部分就是EDA组的重头戏了,因为它占了70分,基本上你前面的部分掀不起什么波澜,一般来说PCB做的好就能拿好的奖项,因此备赛的重点在于PCB设计,而PCB部分包含了元器件封装的绑定、布局、走线、铺铜,具体的要求需要看赛题,这部分可以说是需要花最多时间的,因此在比赛期间需要规划好时间,前面花费的时间一般不能超过一个小时。

        写到这里其实不是结束而是开始,我将会发布一个专栏,分享设计试题的流程和经验(客观题我自己都不太行,所以就不误人子弟了),通过五套蓝桥杯试题(其中两道模拟三道真题)进行分析,顺序从易到难,当然这个标准是根据个人判断,如果看的人多的话可以考虑继续写一些文章。

        当然需要注意一下,我分享的内容并不适合零基础备赛的同学,分享的多为技巧性的内容,因此零基础的同学可以在b站上面找一下教程(搜蓝桥杯EDA就有一些教程很不错,立创EDA官方出品的和EDA杜豪的教程都是非常不错的)。

EDA杜豪: https://www.bilibili.com/video/BV1A84y1x7F2/?share_source=copy_web

立创EDA官方教程(蓝桥杯备赛): https://www.bilibili.com/video/BV1LP4y1E7Hd/?share_source=copy_web

文章链接

评论可见,请评论后查看内容,谢谢!!!
 您阅读本篇文章共花了: