概述

        xilinx收发器产品涵盖了当今高速协议的全部范围。GTH 和 GTY 收发器提供要求严苛的光学互连所需的低抖动,并具有世界一流的自适应均衡功能以及困难的背板操作所需的 PCS 功能。

Versal™ ACAP GTY (32.75Gb/s):针对延迟和功耗进行了优化Versal ACAP GTM (58Gb/s):针对最新的铜缆、背板和光纤接口进行了调整,支持 PAM4 和 NRZVersal ACAP GTM (112Gb/s):在现有基础设施上扩展 800G 网络UltraScale+™ GTR (6.0Gb/s):将通用协议最简单地集成到 Zynq 处理器子系统UltraScale+ GTH (16.3Gb/s):低功耗和高性能,适合最坚固的背板UltraScale+ GTY (32.75Gb/s):为最快的光学和背板应用提供最大 NRZ 性能;适用于芯片到芯片、芯片到光学器件和 28G 背板的 33G 收发器UltraScale™ GTH (16.3Gb/s):低功耗和高性能,适合最坚固的背板UltraScale GTY (30.5Gb/s):光学和背板应用的高性能;适用于芯片到芯片、芯片到光学器件和 28G 背板的 30G 收发器UltraScale+ GTM (58Gb/s):使用 PAM4 实现 58G 芯片到芯片、芯片到光学器件和背板应用的最大性能7 系列 GTP (6.6Gb/s):针对消费类和传统串行标准的功耗优化收发器7 系列 GTX (12.5Gb/s):中端收发器中最低的抖动和最强的均衡7 系列 GTH (13.1Gb/s):通过世界一流的抖动和均衡实现背板和光学性能7 系列 GTZ (28.05Gb/s): 28nm FPGA 中速率最高、抖动最低的 28G 收发器Spartan 6™ GTP (3.2Gb/s):功耗和成本优化的收发器,适用于成本敏感型应用

下表显示了每个设备系列的支持范围: 

1:Gb/s 2:组合发送和接收 3:跨多个器件系列找到的最大收发器数量

收发器

带 AMD 收发器的背板

自适应均衡方面的领先地位意味着 XAMD 收发器可以在最具挑战性的背板和直连铜缆应用中提供强大的性能。AMD 在高质量均衡方面享有盛誉,从首款符合 10GBase-KR 标准的 7 系列 GTH 到即将推出的 Versal™ Premium 系列中的 112G PAM4 GTM,后者实现了基于先进 ADC/DSP 的均衡器。无论是 10G 背板还是 100G 铜缆,AMD 都有收发器来支持。

与 AMD 收发器的光学互连

光学领域正在迅速从 10Gb/s 接口过渡到 100Gb/s、400Gb/s 甚至更高。AMD 收发器旨在支持各种光学速率和外形尺寸。Versal ACAP 具有一对收发器,可支持当今生态系统中的各种光学互连。GTY/GTYP 支持高达 16.3Gb/s 的 SFP+ 和 QSFP+ 以及高达 32.75Gb/s 的 QSFP28 或 OSFP。GTM 收发器支持这些较低速率以及高达 58Gb/s 的 QSFP56-DD 和未来 100Gb/s 的每通道接口。均衡的灵活性和自动适应能力使得同一个收发器能够支持长距离背板以及短芯片到光学器件的互连,几乎不需要调整,但裕量却很大。

UltraScale+ MPSoC 高速 IO

Zynq™ UltraScale+™ MPSoC 配备了全新的 GTR 收发器。通过为 ARMv8 处理器配备收发器和外设来支持最常见的串行互连,AMD 简化了设计流程,并减少了与这些互连连接相关的开销。

文档

《UltraScale Architecture GTH Transceivers User Guide (UG576)》

《Leveraging UltraScale Architecture Transceivers for High-Speed Serial I/O Connectivity (WP458)》

《7 Series FPGAs GTX/GTH Transceivers User Guide (UG476)》

更多文档:High Speed Serial

好文推荐

评论可见,请评论后查看内容,谢谢!!!
 您阅读本篇文章共花了: