报错信息,两种

[放置30-575]具有时钟功能的IO引脚和MMCM对的次优放置。如果此设计可接受此次优条件,则可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束将此消息降级为“警告”。但是,强烈不鼓励使用此覆盖。可以在.xdc文件中直接使用这些示例来覆盖此时钟规则。

[Place 30-675]具有全局时钟功能的IO引脚和BUFG对的次优位置。如果此设计可接受此次优条件,则可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束将此消息降级为“警告”。但是,强烈不鼓励使用此覆盖。可以在.xdc文件中直接使用这些示例来覆盖此时钟规则。

我出现了第一种,是在配置 ddr 时使用的 mmcm 的报错,mmcm(Clocking Wizard) 的 Clock Options/Input Clock Information 里的 Source 配置了 Single ended clock capable pin,然后就出错了。时钟引脚用的是带有MRCC标志的,也就是 I/O Planning 里的六边形的引脚

可以将 Single ended clock capable pin 换成 Global buffer,或者在 .xdc 里使用“set_property CLOCK_DEDICATED_ROUTE FALSE [get_ports sys_clk]”来屏蔽该问题。

问题的原因貌似是某些IP使用的时钟需要 IBUF,这样才能获得更好的信号质量。如果没配置 IBUF 或着用的不是带 MRCC/SRCC 的普通引脚就有这样的问题。还有IBUF不在同一个时钟域之类的都会报类似的问题

相关链接

set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets sys_clk]_place 30-575_weixin_34166721的博客-CSDN博客

CLOCK_DEDICATED_ROUTE约束应用_ove学习使我快乐的博客-CSDN博客 

MMCM时钟管脚约束 - AMD-FPGA - 米联客uisrc 

Xilinx Customer Community 

推荐阅读

评论可见,请评论后查看内容,谢谢!!!
 您阅读本篇文章共花了: